Outils pour utilisateurs

Outils du site


wiki:linux_pour_zynq_de_xilinx:projet_dels_vivado

Projet Linux_LEDs avec Vivado

Options du projet

Nous allons créer un projet VHDL car il semble que l'implémentation des macros pour la Zedboard et les LEDs soient préférablement en VHDL.
Dans la fenêtre initiale au lancement de Vivado :

  • menu Tools → Options : cadre General section Target Langage Valider VHDL, puis OK

Création du projet

  • Section Quick Start, cliquer sur Create New Project
  • Bouton Next
  • Fenêtre Project Name
    Ligne Project name, mettre le nom du projet, exemple Linux_LEDs.
    Ligne Project location, mettre le répertoire où le projet sera placé, exemple /home/utilisateur/projets_zync
    Laisser cochée la case Create project subdirectory
    Bouton Next
  • Fenêtre Project Type
    Valider RTL Project, puis laisser cochée la case Do not specify sources at this time
    Bouton Next
  • Fenêtre Default Part
    Sélectionner Boards
    Dans la table, cliquer sur ZedBoard …
    Bouton Next
  • Fenêtre New Project Summary
    Bouton Finish

Creation et remplissage du schema-bloc

  • Cadre Flow Navigator
    Section IP Integrator
    Cliquer sur Ceate Block Design
  • Fenêtre Create Block Design
    Ligne Design name: indiquer le nom du schéma, exemple proc_et_LEDs
    Laisser les autres champs par défaut
  • Cadre Bloc Design
    Sous cadre Diagram
    Cliquer sur le bouton Add IP de la barre d'outils
  • Fenêtre ……
    Dans la barre Search Tapper puis sélectionner ZYNQ7 Processing System en valider
  • Cadre Bloc Design
    Sous cadre Diagram
    Relier la broche FCLK_CLK0 à droite du ZYNQ à la broche M_AXI_GP0_ACLK
  • Cadre Bloc Design
    Sous cadre Design
    Cliquer sur l'onglet Board
    • Prendre avec la souris l'indication LED sous la ligne General Purpose Input or Output et la faire glisser sur le cadre avec le diagramme, puis relâcher le bouton de la souris
      Un message apparait. Cliquer sur OK
    • Prendre avec la souris l'indication DIP switches sous la ligne General Purpose Input or Output et la faire glisser sur le cadre avec le diagramme, puis relâcher le bouton de la souris
      Un message apparait. Cliquer sur OK
  • Cadre Bloc Design
    Sous cadre Diagram
    une barre verte est apparue avec deux option cliquables. Cliquer d'abord sur Run Block Automation
  • Fenêtre Run Block Automation
    Bouron OK
  • Cadre Bloc Design
    Sous cadre Diagram
    la barre verte est a une option cliquable. Cliquer sur Run Connection Automation
  • Fenêtre Run Connection Automation
    Bouron OK

Création de la fonction HDL représant le shéma-bloc

  • Cadre Bloc Design
    Sous cadre Design
    Cliquer sur l'onglet Sources
  • Fenêtre Run Block Automation
    Bouron OK
  • Dans l'arborescence Design Sourcess
    Cliquez sur le nom du bloc avec l'extension .bd. Dans notre exemple proc_et_LEDs.bd
  • Avec ke bouton droit de la souris, un menu apparait. Selectionner Create HDL wrapper
  • Dans la nouvelle fenêtre, laisser les indiquations Let Vivado manage Wrapper and auto update
    Cliquer sur OK

Compléter la chaine de synthèse

  • Dans la fenêtre Flow Navigator, cliquer en bas sur Generate Bitstream
  • Une fenêtre apparait. Elle demande si on veut réaliser toute la chaine de synthèse, jusqu'à la génération du fichier binaire de programmation
    Répondre Yes
  • À la fin de la génération, une nouvelle fenêtre s'ouvre. Vous pouvez laisser Open implemented Design validé, puis cliquer sur OK

Exportation de fichiers

  • Dans le menu File, aller la lingne Expoort, puis choisir Export Hardware
  • Une nouvelle fenêtre apparait. Cocher Include bitstream, puis OK
wiki/linux_pour_zynq_de_xilinx/projet_dels_vivado.txt · Dernière modification : 2015/11/19 17:05 de emeyer